当前位置: 首页 > news >正文

深圳专业网站建设产品运营之中的广度讲解深圳网络营销的公司哪家好

深圳专业网站建设产品运营之中的广度讲解,深圳网络营销的公司哪家好,南京润盛建设集团有限公司网站,如何制作一个小程序北邮22信通一枚~ 跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章 持续关注作者 迎接数电实验学习~ 获取更多文章,请访问专栏: 北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客 目录 一.顶层模块的书写 二.两种验证方法 2.1使用…

北邮22信通一枚~

跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章

持续关注作者 迎接数电实验学习~

获取更多文章,请访问专栏:

北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客

 

目录

一.顶层模块的书写

 二.两种验证方法

2.1使用FPGA开发板验证

2.1.1管脚分配

2.1.2实验效果

2.2使用仿真验证

2.2.1仿真代码的书写

 2.2.2仿真结果


一.顶层模块的书写

D_trigger.v

module D_trigger
(input clk,input rst,input d,output reg q
);always @ ( posedge clk or negedge rst)begin if(!rst)q<=1'b0;else q<=d;end
endmodule

 二.两种验证方法

2.1使用FPGA开发板验证

2.1.1管脚分配

2.1.2实验效果

烧录过后,第一个且仅第一个LED灯亮。

将第一个拨码开关调至ON,LED熄灭;

按K1,灯亮。

注意,K1,在开发板上有标注!!!和大脚丫一样的 

2.2使用仿真验证

2.2.1仿真代码的书写

D_trigger_tb.v

`timescale 1ns/1ps
`define clk_period 10
module D_trigger_tb;reg clk;reg rst;reg d;wire q;D_trigger trigger_1
(.clk(clk),.rst(rst),.d(d),.q(q)
);initial clk=1;always@(`clk_period/2)begin clk=~clk;end
initial begin rst = 0;#100;rst = 1;#1000;rst = 0;#100;$stop;endinitialbegind=0;#120;d=1;#100;d=0;#105;d=1;#100;end
endmodule

 2.2.2仿真结果

http://www.wooajung.com/news/27211.html

相关文章:

  • 怎么做网站推广百度快照不更新怎么办
  • 昆明做网站建设公司淘宝网店运营
  • 龙口有没有做网站的产品推广策略怎么写
  • 做网站公司需要多少钱如何免费做网站网页
  • 中山制作企业网站新站整站快速排名
  • 动漫设计与制作代码seo裤子的关键词首页排名有哪些
  • 哈尔滨百度网站建设长春百度推广公司
  • 最便宜网站空间百度推广登录首页
  • 深圳网站建设 公司元代运营公司怎么找客户
  • 公司网站建设模块简介seo优化排名经验
  • 招聘网站免费平台响应式模版移动优化
  • 广东深圳网站建设微信商城运营快速网站排名提升工具
  • 南宁北京网站建设百度百家号怎么赚钱
  • 长春做网站多少钱全国疫情最新公布
  • 名师工作室建设名师网站微信小程序开发
  • 网站建设公司 2018搜索引擎广告案例
  • 哪个工业园区信息化网站做的好搜索引擎优化的目的是
  • 手机主页网站哪个好用网站推广软件免费版
  • 专业的企业智能建站比较好高质量内容的重要性
  • 镇江疫情最新消息今天重庆公司网站seo
  • 上海兼职做网站专业营销推广团队
  • 南京做企业网站的公司免费广告制作软件
  • 加工厂做网站免费优化推广网站的软件
  • 做面料哪个网站好艺考培训
  • 网站和域名区别广告做到百度第一页
  • 三亚做网站多少钱登封seo公司
  • 怎么查找网站的根目录厦门网络推广
  • 有没有可以在线做化学实验的网站厦门seo顾问
  • 做电子商务网站的总结靠谱的拉新平台
  • 前端主要做什么合肥seo网络营销推广